Универсальный внешний накопитель для всех iOS-устройств, совместим с PC/Mac, Android
Header Banner
8 800 100 5771 | +7 495 540 4266
c 9:00 до 24:00 пн-пт | c 10:00 до 18:00 сб
0 Comments

Схема электронных часов-будильника » Паятель.Ру

Категория: Часы

Электронные часы построены на основе комплекта микросхем К176ИЕ12, К176ИЕ13 и К176ИД2. Микросхемы очень хорошо работают с светодиодными семисегментными индикаторами, которые, хотя и недешевы, но отличаются высокой надежностью, и что самое главное не раздражают зрение как электролюминесцетные. Часы-будильник отсчитывают текущее время в часах и минутах, секунды индицируются одним светодиодом, который на табло размещается между разрядами часов и минут. Время будильника устанавливается в течении суток. При совпадении времени будильника и текущего времени включается музыкальный сигнал, один из восьми, который можно выбрать заранее.


Кроме срабатывания звукового сигнала часы-будильник могут включать любую нагрузку, питающуюся напряжением до 220В и имеющую мощность не более 100 Вт, это может быть радиоприемник, магнитофон, любой электроприбор не превышающий эту мощность.

Часы питаются от сети переменного тока 220В и от резервного источника на 9В (батарея типа “Кроны”). При отключении электроснабжения часы переходят на питание от резервного источника, при этом индикация, с целью экономии электроэнергии, отключается, а все остальные функции, включая и будильник (кроме выключателя электроприбора) сохраняются. Таким образом, даже если электричество отключили на сутки будильник прозвучит вовремя.

Еще одно преимущество — громкость будильника настолько высока, что разбудит даже самого крепко спящего человека.

Принципиальная схема показана на рисунке. Собственно часы-будильник собраны на микросхемах D1-D3 по упрощенной типовой схеме. Микросхема D1 К176ИЕ12 представляет собой формирователь временных последовательностей. Она содержит мультивибратор с кварцевым резонатором Q1 и два счетчика. Первый вырабатывает секундные и полсекундные импульсы, а также сдвинутые по фазе на четверть периода импульсы частотой 128 Гц для реализации динамической индикации. Второй счетчик имеет коэффициент пересчета 60 и служит для формирования минутных импульсов.

Микросхема D2 содержит счетчики часов и минут, а также ОЗУ будильника и формирователь сигнала будильника. Как только информация записанная в ОЗУ совпадает с текущем времени на выводе 7 D2 появляются пачки импульсов, которые в типовом включении должны поступать на пьезоизлучатель.

Установка текущего времени и будильника выполняется тремя кнопками S1-S3. При нажатии на S1 показания минут увеличиваются с частотой 2 Гц, при нажатии на S2 тоже самое происходит с показаниями часов. При нажатии S3 на индикацию выводится время установки будильника и при этом можно кнопками S1 и S2 установить время будильника.

D3 — дешифратор для индикаторов с семи-сегментным формированием цифр. Двоичный четырехразрядный код каждой цифры поступает на его входы “1-2-4-8”, при этом смена данных в соответствии с динамической индикацией производится импульсом, поступающим на его вывод 1.

На выходе дешифратора включены четыре семисегментных индикатора АЛС324Б. Одинаковые катодные выводы сегментов этих индикаторов соединены вместе. Таким образом, что один и тот же код поступает сразу на катоды всех четырех индикаторов. Выбор какой из индикаторов при этом будет светиться производится при помощи четырех транзисторных ключей VT2-VT5, на базы которых поступают импульсные последовательности частотой 128 Гц и скважностью 4, сдвинутые по фазе относительно друг друга на четверть периода.

Сигнал будильника выпрямляется диодом VD8 и на конденсаторе С10 появляется некоторое напряжение, которое поступает на транзисторный ключ на VT8 и открывает его. При этом ток протекает через обмотку электромагнитного реле Р1, его контакты приходят в движение и замыкают цепь нагрузки “Н” и цепь “коллектор-эмиттер” транзистора VT8. Таким образом реле самоблокируется и остается во включенном состоянии даже тогда, когда сигнал будильника прекращается. Вывести реле из этого положения можно только кратковременным прекращением тока через него при помощи размыкающей кнопки S6.

Одновременно импульсы будильника поступают через конденсатор С7 на вход запуска (вывод 13) универсального музыкального синтезатора УМС8-08. Синтезатор запускается и импульсный сигнал с его выхода (вывод 1) поступает на транзисторный импульсный усилитель на VT6 и VT7. В коллекторной цепи VT7 включен динамический громкоговоритель.

В результате такого построения выходного каскада громкость звучания получается достаточно большой. При необходимости её снизить можно включением резистора на 20-100 Ом последовательно с динамиком. Отключается будильник при помощи выключателя SB1, который отключает динамик.

Питается УМС от параметрического стабилизатора на R18 и VD5. Тактовая частота на него поступает с вывода 14 D1 через С5. Кнопка S4 служит для ручного запуска синтезатора, a S5 для выбора мелодии которая должна будет исполняться.

Сетевой источник питания сделан на маломощном силовом трансформаторе Т1. Постоянное напряжение 12-15В с выхода мостового выпрямителя питает электромагнитное реле Р1. Схема часов питается от стабилизатора на VT9, вырабатывающего 10В. Резервный источник G1 подключается через диод VD6. Когда сетевое напряжение есть он защищен этим диодом и не используется. При отключении сетевого напряжения диод VD7 препятствует поступлению тока от G1 на светодиодные индикаторы.

Светодиодные индикаторы АЛС324Б можно заменить на любые другие семисегментные с общим анодом. Электромагнитное реле Р1 типа РЭС22 на напряжение 10-15В. Синтезатор УМС8-08 можно заменить на любой другой УМС из серии УМС8 и УМС7. Все транзисторы могут быть с любыми буквенными индексами. КТ 315 желательно заменить на КТ503.

Трансформатор Т1 готовый, на его вторичной обмотке имеется переменное напряжение 12В. Можно взять любой другой трансформатор с выходным напряжением 12-22В, при этом соответственно придется выбрать С11 на другое напряжение и реле, либо подключить реле через гасящий резистор.

Динамик любого типа, например от малогабаритного радиоприемника.

Электронные часы

Портал QRZ. RU существует только за счет рекламы, поэтому мы были бы Вам благодарны если Вы внесете сайт в список исключений. Мы стараемся размещать только релевантную рекламу, которая будет интересна не только рекламодателям, но и нашим читателям. Отключив Adblock, вы поможете не только нам, но и себе. Спасибо.

Как добавить наш сайт в исключения AdBlock

QRZ.RU > Каталог схем и документации > Схемы наших читателей > Дайджест радиосхем > Электронные часы

class=”small”>



Электронные часы

  Данные часы собранны на хорошо известном комплекте микросхем – К176ИЕ18 (двоичный счетчик для часов с генератором сигнала звонка), К176ИЕ13 (счетчик для часов с будильником) и К176ИД2 (преобразователь двоичного кода в семисегментный).

Поэтому на рассказе о работе этих микросхем я решил не останавливаться. При включении питания в счетчик часов, минут и в регистр памяти будильника микросхемы U2 автоматически записываются нули. Для установки времени следует нажать кнопку S4 (Time Set) и придерживая ее нажать кнопку S3 (Hour) – для установки часов или S2 (Min) – для установки минут. При этом показания соответствующих индикаторов начнут изменяться с частотой 2 Гц от 00 до 59 и далее снова 00. В момент перехода от 59 к 00 показания счетчика часов увеличатся на единицу. Установка времени будильника происходит так же, только придерживать нужно кнопку S5 (Alarm Set). После установки времени срабатывания будильника нужно нажать кнопку S1 для включения будильника (контакты замкнуты). Кнопка S6 (Reset) служит для принудительного сброса индикаторов минут в 00 при настройке. Светодиоды D3 и D4 играют роль разделительных точек, мигающих с частотой 1 Hz. Цифровые индикаторы на схеме расположены в правильном порядке, т.е. сначала идут индикаторы часов, две разделительные точки (светодиоды D3 и D4) и индикаторы минут.

  В часах использовались резисторы R6-R12 и R14-R16 ваттностью 0,25W остальные – 0,125W. Кварцевый резонатор XTAL1 на частоту 32 768Hz – обычный часовой (лучше “совковый” в виде лодочки, импортный желательно не ставить, т.к. они не очень точные). Транзисторы КТ315А можно заменить на любые маломощные кремниевые соответствующей структуры, КТ815А – на транзисторы средней мощности со статическим коэффициентом передачи тока базы не менее 40, диоды – любые кремниевые маломощные. Пищалка BZ1 динамическая, без встроенного генератора, сопротивление обмотки 45 Om. Кнопка S1 естественно с фиксацией. Индикаторы использованы TOS-5163AG зеленого свечения, можно применить любые другие индикаторы с общим катодом, не уменьшая при этом сопротивление резисторов R6-R12. На рисунке Вы можете наблюдать распиновку данного индикатора, выводы показаны условно, т.к. представлен вид сверху. После зборки часов, возможно, нужно будет подстроить частоту кварцевого генератора.

Точнее всего это можно сделать, контролируя цифровым частотомером период колебаний 1 с на выводе 4 микросхемы U1. Настройка генератора по ходу часов потребует значительно большей затраты времени. Возможно, придется также подстроить яркость свечения светодиодов D3 и D4 подбором сопротивления резистора R5, чтобы все светилось равномерно ярко. Потребляемый часами ток не превышает 180 мА.

  Часы питаются от обычного блока питания, собранного на плюсовом микросхемном стабилизаторе 7809 с выходным напряжением +9V и током 1,5A. Трансформатор должен быть с выходным напряжением ~9-12V, лучше ~9V, потому что в этом случае падение напряжения на миксросхемном стабилизаторе будет минимальным, соответственно и его нагрев тоже. Это немаловажно для часов, питающихся от сети непрерывно. Не забудьте поставить микросхемный стабилизатор на небольшой радиатор, сделанный из куска дюралюминиевой пластины. Конденсатор C3 расположите вблизи цепи питания микросхем. Элементы часов лучше собрать в корпусе, спаянном из стеклотекстолита, и соединить его фольгу с общим проводом питания.

Это избавит часы от помех.
Источник: shems.h2.ru

12H/24H Digital Clock Circuit — Online Digital Electronics Course

4 блока цифровых часов:

  • Генератор тактовых импульсов с частотой 1 Гц для генерации сигнала 1 PPS (импульс в секунду) для блока секунд. Блок
  • SECONDS – содержит схему деления на 10, за которой следует схема деления на 6. Будет генерировать 1 PPM (импульсный в минуту) сигнал к блоку минут. Выходы BCD подключаются к цепь BCD to Seven Segment для отображения значений секунд.
  • блок МИНУТ – идентичен блоку секунд содержит 2 разделителя; деление на 10 с последующим делением на 6. Генерирует сигнал 1 PPH (импульс в час) для блока HOURS. Выходы BCD подключаются к BCD к Seven Сегментная схема для отображения значений минут.
  • Блок ЧАСОВ – в зависимости от того, 12-часовые или 24-часовые часы, будут иметь деление на 24 или деление на 12. Для 24-часового будет считать от 00 до 23. Для 12H он будет считать от 00 до 11. Выходы BCD подключаются к BCD к Seven Сегментная схема для отображения значений часов.

блок SECONDS

74LS93 используется для реализации схем деления на 10 и деления на 6. 74LS93 — это высокоскоростная 4-битная пульсация. счетчики типа разделены на две секции. Счетчик имеет секцию деления на два и секцию деления на восемь. которые запускаются переходом с ВЫСОКОГО на НИЗКИЙ уровень на тактовых входах.

Перейдите к усеченному счетчику пульсаций, чтобы узнать, как работает 74LS93. работает.

Счетчик деления на 10

  • Чтобы использовать все 4 бита счетчика, Q0 должен быть подключен к CP1. Q0 — младший бит, а Q3 — старший бит.
  • Входные часы подключены к CP0.
  • Для реализации деления на 10 или счетчика MOD10 Q1 подключается к MR1, а Q3 подключается к MR2. С этим соединение, когда счетчик достигает 10 (1010 двоичных), он сбрасывается на 0.
  • Выходная частота Q3 равна входной тактовой частоте, деленной на 10.
  • Для отображения значений Q3..Q0 подключаются к соответствующим входам D..A BCD на 7-сегментном дисплее.

Разделить на 6 Счетчик

  • Поскольку требуется только 3 бита, Q0 не используется. Q1 — младший бит, а Q3 — старший бит.
  • Входной тактовый сигнал подключен к CP1.
  • Для реализации деления на 6 или счетчика MOD6 Q2 подключается к MR1, а Q3 подключается к MR2. С этим соединение, когда счетчик достигает 6 (110 двоичных), он сбрасывается до 0.
  • Выходная частота Q3 равна входной тактовой частоте, деленной на 6.
  • Для отображения значений Q3..Q1 подключаются к соответствующим входам C..A BCD на 7-сегментном дисплее. D из вход BCD для 7-сегментного дисплея подключен к GND.

Блок ЧАСОВ

Часы могут быть выполнены в 24-часовом или 12-часовом формате. Мы объясним шаги, необходимые для достижения комбинационной логики. получите 12-часовые часы, и мы предоставим читателю в качестве упражнения разработать 24-часовые часы.

Нажмите подсказки, если вам нужна помощь в разработке 24-часовых часов.

12H Clock

  • Чтобы использовать все 4 бита счетчика IC1 (единиц), Q0 должен быть подключен к CP1. Q0 — младший бит, а Q3 — старший бит. входные часы подключены к CP0.
  • Поскольку для IC2 требуется менее 3 бит (десятки), Q0 не используется. Q1 — младший бит, а Q3 — старший бит. Входные часы подключен к CP1.
  • Таблица истинности счетчика сокращена – опущены те строки, где входы MR счетчиков равны 0. Напомним, что для 7493 1 на MR сбросит счетчики на 0.
  • Для упрощения таблицы, K — Q0 IC1 (единицы), G — Q0 IC2 (десятки) и так далее.
  • Для 12-часовых часов, когда счет в BCD достигает
    • 0A, IC1 должен быть очищен (Y=1)
    • 12, IC1 должен быть очищен (Y=1) и IC2 должен быть очищен (X=1)
  • Используя СОП (сумма произведений), получаем
    • Y = HJ + GJ, где Y — входы IC1 MR1, MR2, соединенные вместе
    • X = GJ, где X — входы IC2 MR1, MR2, соединенные вместе

Моделирование и макет 24H Схема часов.

Тактовая частота 1 Гц

Тактовая частота 1 Гц может быть реализована с помощью триггера Шмитта осциллятор.

Ограничения

  • Часы не могут быть установлены на правильное время. Подсказка: используйте дополнительную логику, чтобы позволить часам 1 PPS управлять МИНУТЫ и ЧАСЫ блокируются в зависимости от нажатия кнопки. Ниже представлена ​​блок-схема одного решения с использованием мультиплексора 2:1. В зависимости от SET , либо 1 PPS (импульс в секунду) Секунда) или часы с частотой 1 PPH (импульс в час) управляют часовой схемой.
  • Часы 12H считают от 00 до 11, а не от 01 до 12. Совет: используйте обычные JK-триггеры (74LS73) вместо 74LS93, поэтому при подсчете клемм выход счетчика предварительно установлен на 01.

Цифровые часы старой школы | Журнал Nuts & Volts


» Перейти к дополнительным материалам

Проект часов с логикой CMOS и семисегментным дисплеем.

Есть проекты цифровых часов и есть проектов действительно цифровых часов . На одном полюсе находятся часы, полностью состоящие из отдельных транзисторов, резисторов и других дискретных компонентов, таких как агрессивно ретро-комплекты, произведенные KABtronics и описанные на этих страницах несколько лет назад [1]. Другой крайностью являются часы на базе микропроцессора с тысячами элементов схемы, сжатых в одну или несколько интегральных схем. Новый пример таких часов появился в мартовском номере журнала 9 за 2014 г.0106 Гайки и болты [2].

Этот проект находится между этими крайностями, ближе к концу в хронологическом порядке. Это простые 12-часовые часы с интегральными схемами CMOS и семисегментным светодиодным дисплеем. Самые сложные ИС в нем содержат несколько триггеров и некоторую дополнительную логику. Часы показывают время на четырехразрядном дисплее — часы и минуты — с двоеточием, мигающим каждую секунду. Еще два светодиода попеременно показывают AM и PM. Два кнопочных переключателя позволяют устанавливать минуты и часы, и все это питается от небольшого настенного источника питания.

Структура

Цифровые часы состоят из четырех частей, как показано на рис. 1 .

РИСУНОК 1. Общий вид цифровых часов.


База времени выдает сигнал фиксированной частоты, циклы которого подсчитываются, чтобы отметить течение времени. Этот сигнал выводится либо из линейной частоты 60 Гц, либо, как здесь, из кварцевого генератора. Счетчики подсчитывают циклы сигнала базы времени и генерируют выходные данные, представляющие цифры. ИС драйвера декодируют эти выходные сигналы для управления отображает , которые показывают время. Наконец, источник питания обеспечивает питание, необходимое для других частей. В микропроцессорных часах почти все эти функции выполняются микропроцессором; здесь они распределены между девятью интегральными схемами и несколькими транзисторами.

База времени

База времени состоит из одного транзистора и двух интегральных схем. Транзистор — MPF-102 или аналогичный полевой транзистор — используется в генераторе с кварцевым управлением. Частоты многих генераторов можно разделить для управления часами; здесь частота кристалла 4,194304 МГц = 2 22 Гц. Переменный конденсатор в генераторе представляет собой подстроечный резистор; он позволяет слегка регулировать частоту генератора, чтобы часы вели точное время.

Первая интегральная схема — CD4521 (или MC14521), содержащая инвертор и цепочку из 24 триггеров. Выход каждого триггера соединен со входом следующего. Доступны выходы последних семи триггеров. Первый триггер получает сигнал генератора. Каждый триггер делит частоту принимаемого им сигнала на два, поэтому выход последнего триггера равен входной частоте, деленной на 224.

При входной частоте 2 22 Гц выход 20-го триггера 4 Гц; этот сигнал используется для быстрого перевода часов и минут для установки. Выход 20-секундного триггера составляет 1 Гц; этот сигнал мигает двоеточием на дисплее.

Выход последнего триггера составляет (1/4) Гц; то есть один цикл каждые четыре секунды. Этот сигнал поступает на предварительно устанавливаемый четырехбитный двоичный счетчик CD4029. Этот счетчик предварительно установлен на 15 путем подачи высоких сигналов, представляющих двоичные единицы, на его предварительно заданные входы, и он ведет обратный отсчет так, что он делит свою входную частоту на 15; 4 х 15 = 60, поэтому число 4029выход высшего порядка завершает один цикл каждую минуту. Этот сигнал приводит в действие счетчики часов. На рис. 2 показана схема базы времени.

РИСУНОК 2. База времени часов с кварцевым управлением.


Выход CD4029 используется для перезагрузки счетчика, когда его счет достигает нуля. В это время этот сигнал становится высоким, но предустановленный вход 4029 активен низким. Транзисторный инвертор переворачивает сигнал переноса, чтобы он сбрасывал счет соответствующим образом.

Я мог бы использовать другую ИС, но это казалось неэлегантным для одного инвертора. Транзистор — как и другие в этом проекте — TN3019, потому что у меня их было много. Подойдет любой универсальный NPN.

Счет

Два сигнала времени — 4 Гц и 1/мин — поступают на счетную схему. На рис. 3 показана эта схема и схема дисплея, получающая ее выходные данные.

РИСУНОК 3. Цепи счета и индикации часов.


Для подсчета используются четыре микросхемы: двойной декадный счетчик CD4518; предустановленный декадный счетчик CD4510; двойной триггер JK CD4027; и четверной вентиль И CD4081. Счетчики и один из триггеров генерируют сигналы, указывающие цифры минут и часов. Давайте проследим за 1/минутным сигналом от базы времени через эти микросхемы.

Сигнал 1/минута поступает на один декадный счетчик 4518, который считает минуты и генерирует двоично-десятичное (BCD) представление цифры 1 с на своих четырех выходах. Выход 8 этого счетчика соединен с входом второго счетчика в 4518; когда счет минутных единиц переходит от 9в 0, этот выход переходит в низкий уровень, а второй счетчик увеличивает счет 10 секунд в минутах.

BCD и Counting

В цифровой логике сигналы являются двоичными: высокое и низкое напряжение представляют 1 и 0 соответственно. Одной из таких единиц информации является двоичная цифра или бит. 10 десятичных цифр могут быть представлены наборами из четырех битов, которые указывают значение каждой цифры в двоичной системе счисления, то есть в двоично-десятичном формате (BCD). 0000 представляет цифру «0;» 0001 представляет «1;» и так далее до 1001, что представляет «9»..’ Четыре бита представлены сигналами в четырех строках.

Триггер — это цифровая схема, выходной сигнал которой изменяет состояние — с низкого на высокий или с высокого на низкий — при каждом полном цикле входа. Таким образом, триггер производит выходной сигнал, частота которого составляет половину частоты его входного сигнала.

Счетчики на интегральных схемах представляют собой цепочки из четырех триггеров. Выход каждого из них является одновременно выходом счетчика и входом для следующего триггера в цепочке. Если сигнал с частотой f подается на первый триггер, четыре выхода имеют частоты f/2, f/4, f/8 и f/16. При отсутствии какой-либо дополнительной логики — двоичного счетчика — четыре выхода вместе многократно считают в двоичном виде от 0 до 15.

В используемых здесь счетчиках декад, таких как CD4518 и CD4510, дополнительная логика сбрасывает триггеры в 0, когда второй и четвертый выходы — представляющие 2 и 8 в сумме 10 — являются высокими. Четыре выхода циклически от 0000 до 1001; то есть через двоично-десятичное представление цифр от 0 до 9.

При счете 10 минут 0 должен следовать за 5, поскольку 59 минут переходят в 00. Для этого выходы 2 и 4 счетчика 10 минут подключаются к вентиль И — один из четырех в CD4081. Когда счет 10 секунд минуты достигает 6 в конце часа и в начале следующего, его выходы 2 и 4 имеют высокий уровень, поэтому выход И становится высоким. Это сбрасывает счетчик минут в 0 и посылает импульс следующему счетчику — CD4510, который считает час.

Как уже упоминалось, это 12-часовой формат часов, поэтому цифра 10 часов всегда либо пуста, либо равна 1, и нам не нужен полный счетчик, чтобы отслеживать ее; один из триггеров в CD4027 сделает эту работу. Когда счетчик часов в CD4510 переходит от 9 к 0, 4510 отправляет сигнал на тот триггер, выход Q которого становится высоким, чтобы указать 1 в позиции 10 часов.

На этом этапе все становится немного сложнее по двум причинам. Во-первых, счетчик часов должен пересчитываться после 12; то есть счетчик часов должен быть сброшен, когда он достигает (очень кратко) 13. Во-вторых, этот счетчик должен сбрасываться на 1, а не на 0, поскольку 1:00 следует за 12:59.. Еще два логических элемента И в 4081 обрабатывают первую проблему. Вместе они замечают, когда цифра 10 часов равна 1 (выход Q этого триггера имеет высокий уровень) и выходы 1 и 2 4510 имеют высокий уровень; то есть количество часов достигает 13. Выход второго логического элемента И становится высоким, что сбрасывает триггер — его выход Q становится низким — и повышает вход НАГРУЗКИ 4510, чьи предустановленные входы задают значение 1. ( Этот переход можно осуществить с помощью непредустановленного счетчика, но для этого требуется больше логики.Эта реализация оставлена ​​в качестве упражнения.)

Три декадных счетчика и один триггер теперь правильно отсчитывают минуты и часы, указанные 1/минутным сигналом от базы времени. Остается только правильно зажечь индикаторы AM и PM.

Эту задачу имеет смысл возложить на второй триггер в 4027. Он имеет взаимодополняющие выходы Q и ~Q, и в любой момент времени будет гореть ровно один из индикаторов. Однако утро становится днем, а вечер снова становится утром в 12:00, а не в 1:00, поэтому мы не можем использовать сигнал сброса часов для переключения этого триггера. К счастью, у нас уже есть (как Рисунок 3 показывает) соответствующий сигнал.

Один из логических элементов И становится высоким, когда сигнал часов 10s и сигнал часов 1s 2 имеют высокий уровень; то есть в 12:00. Этот сигнал поступает на вход второго триггера, так что каждый раз, когда счетчик часов достигает 12, он переключается (или перескакивает), выключая один индикатор и зажигая другой.

Два кнопочных переключателя подают сигнал 4 Гц на входы для подсчета минут и часов, чтобы быстро увеличить эти значения и установить минуты и часы.

Дисплеи

Выходы каскадов счетчиков поступают на ИС и транзисторы, которые управляют семисегментными индикаторами с общим анодом: 3-1/2 цифры для минут и часов и двоеточие между часами и минутами. Индикация минут и 10-ти секунд установлена ​​в перевернутом виде, так что ее десятичная точка и точка 1-секундной шкалы часов образуют двоеточие. Сигнал 1 Гц от базы времени управляет двоеточием между часами и минутами через транзисторный переключатель, поэтому двоеточие мигает каждую секунду.

Детали подключения к дисплеям зависят от конкретных выбранных дисплеев. Это могут быть четыре одноразрядных дисплея, два двуразрядных дисплея или один дисплей, содержащий все четыре цифры. Номера контактов на рисунке соответствуют последнему из них. В любом случае обратитесь к техническому описанию дисплея.

Декодеры CD4543 управляют тремя младшими цифрами. Они переводят двоично-десятичные сигналы со счетчиков в сигналы, включающие соответствующие сегменты дисплеев. Цифра 10 часов, двоеточие, а также индикаторы AM и PM управляются транзисторными переключателями, управляемыми сигналами от счетчиков и базы времени. 2N7000 использовался для управления цифрой 10 часов, потому что 2N3019не переключился в этой ситуации; вот почему мы макетируем.

Источник питания

На рис. 4 показан источник питания часов. Он начинается как минимум с 7 В постоянного тока от настенного источника питания. Трехвыводной стабилизатор 7805 обеспечивает регулируемое напряжение +5 В для всех интегральных схем. LM317 обеспечивает регулируемое положительное напряжение для дисплеев с общим анодом, для которых требуется менее 5 В. Чем выше это напряжение, тем ярче дисплей, поэтому потенциометр, устанавливающий это напряжение, управляет яркостью дисплея.

РИСУНОК 4. Блок питания часов с вводом от настенной розетки.


Строительство

Я мог бы спроектировать печатные платы для этого проекта и заказать их изготовление, но макетные платы (часто называемые прототипными платами) удобны и удобны для разовых проектов. Для таких проектов на основе интегральных схем, как этот, моим фаворитом является RadioShack 276-168, который имеет ряды контактных площадок с тремя отверстиями, разделенных двумя шинами, а также несколько дополнительных контактных площадок с двумя отверстиями на одном конце.

Недавнее сокращение RadioShack означает, что эти платы не так легко найти, как раньше, но они остаются доступными.

Часы занимают три из этих плат. Один содержит источник питания и базу времени, с небольшими радиаторами на двух регуляторах. Подстроечный потенциометр устанавливает выходное напряжение LM317. Вторая плата содержит счетчики и связанную с ними логику, а третья содержит драйверы, дисплеи, светодиоды и переключатели настроек. Все микросхемы смонтированы в сокетах; дисплеи монтируются на SIP-розетки; и все три платы содержат несколько развязывающих конденсаторов емкостью 0,1 мкФ (на схеме не показаны) от +5 В до земли.

Дорожки платы не обозначены на сторонах компонентов, поэтому я выбрал по одной шине для заземления, а другую для питания +5 В, и обвел их черным и красным маркером Sharpie™ на сторонах платы. Проводка была выполнена проводом с твердой изоляцией калибра 24, причем красный цвет использовался последовательно для всех соединений + 5 В, а черный – для всех соединений заземления; сделайте это в первую очередь. Другие цвета использовались для наглядности и ясности.

Угловые разъемы и разъемы для крепления плат. С прокладками и стойками между ними доски образуют трехслойный сэндвич; разъемы позволяют легко разбирать сэндвич для отладки. На рис. 5 показаны три платы.

РИСУНОК 5. Три платы, составляющие часы.


Корпус часов согнут из двух кусков алюминия: основания и верха. Передняя панель представляет собой кусок прозрачного красного акрила 1/4 дюйма (оргстекло), просверленный для размещения четырех винтов по углам и переключателей настроек. (При сверлении акрила постепенно увеличивайте маленькие отверстия и делайте это медленно и осторожно. Слишком быстрое сверление может привести к застреванию сверла в материале. Зажимайте материал, а не держите его рукой. Сначала просверлите несколько пробных отверстий.)

Сэндвич из трех досок и акриловой панели крепится к основанию двумя винтами внизу, где распорки короче верхних на толщину акрила. На задней панели установлен разъем для подключения к сети. На рис. 6 показана внутренняя часть собранного блока.

РИСУНОК 6. Внутренняя часть собранных часов.


Саморезы для листового металла крепят верхнюю часть к скобам на задней части основания. Металлические части ограждения были вырезаны из уцелевшей панели, окрашенной слегка текстурированной серой краской. Краска потрескалась на изгибах, но еще один легкий слой серого зафиксировал это и сохранил текстуру. Минимальные этикетки были сделаны с помощью производителя этикеток Brother. На рис. 7 показаны готовые часы.

РИСУНОК 7. Готовые часы.


Регулировка

Подстроечный конденсатор в кварцевом генераторе позволяет слегка регулировать частоту генератора. Установите часы, определите в течение дня или двух, будут ли они работать быстро или медленно, и соответствующим образом измените настройку конденсатора. Это помогает набросать изображения настройки конденсатора, и этот процесс может занять несколько недель. Потерпи.

Вторые часы

При проектировании и создании прототипа часов, которые я только что описал, я построил второй источник питания и базовую плату времени. Для этого требуется входное напряжение около 8 В переменного тока, и поэтому он включает в себя двухполупериодный мост и конденсатор электролитического фильтра. Кроме того — вместо CD4029 — в нем используется 74C193, также предустановленный двоичный счетчик, который имеет то преимущество, что не требует инвертора между его выходом переноса и входом нагрузки. Так как эта плата уже была собрана и протестирована, я решил собрать из нее еще одни часы.

Опять же, было три платы: блок питания и база времени; счетчик, реализующий ту же схему, что и раньше; и драйвер и плата дисплея. Однако физическое устройство было другим. На этот раз блоки питания/времени и платы счетчиков образовали горизонтальный сэндвич.

Плата дисплея — секция Datak 12-600B — крепилась к стойке с помощью длинных прямоугольных разъемов и двух небольших угловых кронштейнов Keystone с резьбой под винты 4-40. (Jameco хранит эти кронштейны под артикулом 1581530.)

Переключатели и небольшой трансформатор на 8 В переменного тока были установлены на задней панели устройства вместе с трехпроводным линейным разъемом компьютерного типа. Как и прежде, узлы подключаются через разъемы и разъемы, включая (в данном случае) пятипроводное соединение от платы счетчика к переключателям. Три платы крепятся к нижней части корпуса, как показано на рис. 8 .

.

РИСУНОК 8. Внутренняя часть вторых часов.


На рис. 9 показаны все часы вместе с третьими, имеющими аналогичную (но более простую) конструкцию и 24-часовую диаграмму.

РИСУНОК 9. Два 12-часовых и 24-часовые часы.


Меры предосторожности

Если настройки переключателей прыгают, настройка часов представляет собой упражнение со случайными числами; Качественные выключатели – хорошая инвестиция. Такой проект содержит очень большое количество паяных соединений, часто расположенных близко друг к другу, поэтому есть много возможностей для холодных соединений и паяных перемычек. Создавайте поэтапно и тестируйте по ходу дела.

Осциллограф очень удобен для тестирования и отладки, и интересно посмотреть формы сигналов и частоты в различных точках схемы. Вы можете тестировать с сигналами более высокой частоты, чем 1/минуту. Модули полезны; Хорошо иметь возможность разобрать часы для тестирования и отладки.

Варианты

Возможны многие варианты этой общей конструкции часов. Различные частоты генератора могут быть разделены на частоты, необходимые для синхронизации, или сигнал 60 Гц может быть получен из линии 120 В переменного тока.

Часы такой общей конструкции могут быть построены на различных интегральных схемах. Многие микросхемы счетчиков широко доступны и недороги. ИС КМОП (серия 4000) намного экономичнее по мощности, чем ТТЛ (серия 7400).

24-часовые часы проще, чем часы с 12-часовым дисплеем. Он переключается с 23:59 до 00:00, и нет необходимости в светодиодах AM/PM, хотя необходима еще одна микросхема декодера/драйвера. Схеме подсчета минут может предшествовать идентичная схема, которая, начиная с сигнала частотой 1 Гц, считает и отображает секунды.

Описанные здесь часы потребляют не более 150 мА при напряжении 5 В, причем почти все это идет на дисплеи и светодиоды; Версии TTL могут потреблять до 500 мА, что требует более крупного трансформатора или настенного источника питания, а также серьезного радиатора для регуляторов; прикрепите радиаторы к металлической задней панели.

В любом случае прочтите технические описания выбранных вами микросхем, сначала создайте и отладьте макетную плату и сохраните макетную плату на время создания постоянной версии. НВ


Ссылки

[1] Кит Байерн: « Transistor Clock », Nuts & Volts , июль 2009 г., стр. 42-46.
[2] Крейг А. Линдли: « Уникальные светодиодные часы », Nuts & Volts , март 2014 г., стр. 33–39.


Перечень деталей

Ни одна из деталей не известна или труднодоступна. Все они должны быть доступны через крупных дистрибьюторов, таких как Digi-Key и Allied. Все резисторы 1/4Вт.

База времени:
CD4521 CMOS 24-ступенчатый делитель частоты
CD4029 КМОП-реверсивный счетчик с предварительной настройкой
MPF102 FET
2N2222 или другой NPN-транзистор общего назначения
4,194304 МГц кварцевый резонатор
1 мГн дроссель
30 пФ подстроечный конденсатор
.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *